CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - TRAFFIC LIGHT CONTROLLER VHDL

搜索资源列表

  1. 1

    0下载:
  2. 根据交通灯控制器的功能与要求,将其总体电路分为分频器、信号控制器两个模块。-According to the traffic light controller functions and the requirements of the overall circuit is divided into its divider, the signal controller two modules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4152
    • 提供者:li
  1. Design_of_Traffic_Light_Controller_Based_on_VHDL.r

    0下载:
  2. :传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。-Traffic light controller is usually developed bymicro p rocesso
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:434672
    • 提供者:li
  1. Design_of_Traffic_Light_Control_System_Base_on_FPG

    1下载:
  2. 用VHDL 语言设计交通灯控制系统, 并在MAX+PLUS II 系统对FPGA/ CPLD 芯片进行下载, 由于生成的是集成化的数字电 路, 没有传统设计中的接线问题, 所以故障率低、可靠性高, 而且体积小。体现了EDA 技术在数字电路设计中的优越性。-The design method of traffic light control system by using Very- High- Speed Integrated Circuit Hardware Descr iption La
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:73396
    • 提供者:li
  1. traffic_controller

    0下载:
  2. it is a verilog code written for traffic light controller will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].it is a state machine based code.-it is a verilog code written for traffic light controlle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:35477
    • 提供者:yasir ateeq
  1. traffic_controller

    0下载:
  2. 实现交通灯控制器的vhdl编程,并且经过下载验证-Implementation of traffic light controller VHDL programming, and has gone through a download authentication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1475166
    • 提供者:电子时钟
  1. jtd

    0下载:
  2. 这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断-The verilog language is FPGA-based traffic light controller, respectively, the four direction control of traffic lights-off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:48263
    • 提供者:jyb
  1. jtd

    0下载:
  2. 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz 时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz 脉冲,即每1s 中递减一次,在显示时间小于3 秒的时候,通车方向的黄灯以2Hz的频率闪烁。系统中用S1 按键进行复位。-To complete the tasks in this experiment is to design a simple traffic light controller, t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:41984
    • 提供者:卢陶
  1. traffic

    0下载:
  2. 交通灯 vhdl 进程
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:865844
    • 提供者:吴小平
  1. TLC

    0下载:
  2. 用VHDL语言写的交通灯控制器,希望对大家有所帮助。-VHDL language with the traffic light controller, you would like to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2245
    • 提供者:zdh
  1. jtd

    0下载:
  2. 这是一个用VHDL编写的交通灯控制器,可以控制主干道和乡村公路的红绿灯-It is written in VHDL, a traffic light controller that can control the main roads and rural roads at the traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:290491
    • 提供者:蔡斌
  1. light

    0下载:
  2. 交通灯控制器,该系统采用层次化混合输入方式进行设计,既顶层采用原理图设计,底层采用VHDL语言设计。-Traffic light controller, the system uses the hierarchical approach to the design of hybrid input, both top-level schematic design with the underlying design using VHDL language.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:194252
    • 提供者:elaine
  1. Traffic-Light-Control-VHDL

    0下载:
  2. 实现东西南北四向交通灯控制。。1.东西主干道、南北支干道方向各有一组红,黄,绿灯用于指挥交通,主干道东西方向红、黄、绿灯的持续时间分别为30s,5s,50s;支干道南北方向红、黄、绿灯的持续时间分别为50s,5s,30s。 2.当有紧急情况(如消防车)时两个方向均为红灯亮,计时停止,数据清零,当特殊情况结束后,控制器恢复原来状态,正常工作。 3.以倒计时方式显示两个方向允许通行或禁止通行的时间。 -traffic light controller..VHDL ..Altium Desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:838525
    • 提供者:陈飞
  1. The-traffic-light-controller-VHDL

    0下载:
  2. 基于VHDL的交通灯控制器设计,红灯45秒,黄灯5秒,绿灯40秒,运用状态机原理-The traffic light controller design based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:104495
    • 提供者:林立强
  1. traffic-light-controller-VHDL

    0下载:
  2. vHDL实现 自顶向下的 交通灯控制器 -VHDL program implement for traffic light controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1018172
    • 提供者:whb
  1. vhdl--of--traffic-light

    0下载:
  2. 十字路口的交通灯vhdl控制程序,其中包括分频器、交通灯控制器和主程序三部分。-Crossroads of traffic lights the vhdl control procedures, including the three parts of the divider, traffic light controller and main program.
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:5275
    • 提供者:仝侨
  1. assg-9-2-(trafic-light-controller)

    0下载:
  2. Traffic light Controller in vhdl using process statement and state disgram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:113243
    • 提供者:Milind
  1. VHDL-traffic-light

    0下载:
  2. 交通信号控制器VHDL设计 1、设计一个南北方向为主干道,东西方向为支干道的; 2、选择一个标准时钟发生电路,为电路提供一个标准1HZ信号; 3、(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为50秒,支干道的绿灯时间为30秒; -Traffic signal controller VHDL design
  3. 所属分类:software engineering

    • 发布日期:2017-11-11
    • 文件大小:188210
    • 提供者:飞羽
  1. traffic-light-controller

    0下载:
  2. 交通灯控制器源程序,使用VHDL编写,在系统上实验通过- The traffic light controller source code, the use of VHDL to write, on the system by experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4332
    • 提供者:康乐
  1. vhdl

    0下载:
  2. 本文件夹包含了四个代码分别为十进制,六进制,六十进制和交通灯控制器的vhdl源码实现-This folder contains the four codes are decimal, hex, decimal, and six traffic light controller vhdl source implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2197
    • 提供者:xiangyang
  1. FPGA-Traffic-Light-Controller

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:64454
    • 提供者:Cherry_RF
« 12 3 »
搜珍网 www.dssz.com